出国留学网

目录

计算机实验报告(精选11篇)

字典 |

2023-08-03 20:48

|

推荐访问

计算机实验报告

【 liuxue86.com - 实用资料 】

  报告就是把单位的情况报给上级,没有别的要求,在人们越来越注重自身素养的今天。我们都会需要书写各种各样的报告,撰写报告时我们可以从哪些角度着手?出国留学网为您整理了一份关于“计算机实验报告”的详尽文献资料,相信你有能力找到对自己有价值的信息!

计算机实验报告 篇1

  计算机组成与系统结构实验报告

  实验目的:

  院(系): 计算机科学与技术学院 专业班级: 学 号: 姓 名: 同 组 者: 指导教师: 实验时间: 2012 年 5 月 23 日

  完成处理器的单周期cpu的设计。实验仪器:

  PC机(安装Altebra 公司的开发软件 QuartusII)一台

  实验原理:

  控制器分为主控制器和局部ALU控制器两部分。主控制器的输入为指令操作码op,输出各种控制信号,并根据指令所涉及的ALU运算类型产生ALUop,同时,生成一个R-型指令的控制信号R-type,用它来控制选择将ALUop输出作为ALUctr信号,还是根据R-型指令中的func字段来产生ALUctr信号。

  实验过程及实验记录: 1.设计过程:

  第一步:分析每条指令的功能,并用RTL来表示。

  第二步:根据指令的功能给出所需的元件,并考虑如何将它们互连。

  第三步:确定每个元件所需控制信号的取值。

  第四步:汇总各指令涉及的控制信号,生成所反映指令与控制信号之间的关系图。

  第五步:根据关系表,得到每个控制信号的逻辑表达式,据此设计控制电路。

  2.完成代码的编写,并调试运行。1)control module Control(op,func,Branch,Jump,RegDst,ALUSrc,ALUctr,MemtoReg,RegWr,MemWr,ExtOp);input [5:0] op,func;output reg Branch,Jump,RegDst,ALUSrc,MemtoReg,RegWr,MemWr,ExtOp;output reg [2:0] ALUctr;always @(op)case(op)6'b000000: begin Branch=0;Jump=0;RegDst=1;ALUSrc=0;MemtoReg=0;RegWr=1;MemWr=0;case(func)6'b100000:ALUctr=3'b001;6'b100010:ALUctr=3'b101;6'b100011:ALUctr=3'b100;6'b101010:ALUctr=3'b111;6'b101011:ALUctr=3'b110;endcase end 6'b001101: begin Branch=0;Jump=0;RegDst=0;ALUSrc=1;MemtoReg=0;RegWr=1;MemWr=0;ExtOp=0;ALUctr=3'b010;end 6'b001001: begin Branch=0;Jump=0;RegDst=0;ALUSrc=1;MemtoReg=0;RegWr=1;MemWr=0;ExtOp=1;ALUctr=3'b000;end

  6'b100011: begin Branch=0;Jump=0;RegDst=0;ALUSrc=1;MemtoReg=1;RegWr=1;MemWr=0;ExtOp=1;ALUctr=3'b000;end 6'b101011: begin Branch=0;Jump=0;ALUSrc=1;RegWr=0;MemWr=1;ExtOp=1;ALUctr=3'b000;end 6'b000100: begin Branch=1;Jump=0;ALUSrc=0;RegWr=0;MemWr=0;ALUctr=3'b100;end 6'b000010: begin Branch=0;Jump=1;RegWr=0;MemWr=0;end endcase endmodule

  2)数据通路DataRoad module DataRoad(Run,Clk,RegWr,MemWr,MemtoReg,RegDst,Branch,Jump,ExtOp,ALUctr,ALUSrc,busA,busB,busW,Instruction,Reg0,Reg1,Reg2,Reg3,Reg4,Mem1,Mem2,Mem3,Result,Im);input Run,Clk,RegWr,MemWr,MemtoReg,RegDst,Branch,Jump,ExtOp,ALUSrc;input [2:0] ALUctr;output [31:0] Instruction,busA,busB,busW,Reg0,Reg1,Reg2,Reg3,Reg4,Mem1,Mem2,Mem3,Result,Im;wire [31:0] busC,DataOut;

  wire [15:0] im;wire [4:0] Rs,Rd,Rt;wire Overflow,Zero;QZL qzl(Clk,Branch,Jump,Zero,Instruction,Run);assign Rs=Instruction[25:21];assign Rt=Instruction[20:16];assign Rd=Instruction[15:11];assign im=Instruction[15:0];Register register(Run,RegWr,Overflow,RegDst,Rd,Rs,Rt,busW,busA,busB,Clk,Reg0,Reg1,Reg2,Reg3,Reg4);ALU alu(busA,busC,ALUctr,Zero,Overflow,Result);DataMem(Run,MemWr,Clk,busB,DataOut,Result,Mem1,Mem2,Mem3);MUX mux1(ALUSrc,busB,Im,busC);MUX mux2(MemtoReg,Result,DataOut,busW);Extender ext(im,Im,ExtOp);endmodule 3)取指令

  module QZL(Clk,Branch,Jump,Zero,Instruction,Run);input Clk,Branch,Jump,Zero,Run;output [31:0] Instruction;wire [4:0] addmem;reg [29:0] PC;wire [29:0] Newpc,pc_1,pc_2,pc_3,pc_12,imm30;wire Branch_Zero;assign addmem={PC[2:0],2'b00};InsMem GetIns(addmem,Instruction);always @(negedge Clk)if(Run==1)begin PC

  PC

  Instruction=Mem[addmem];Endmodule

  4)ALU module ALU(A,B,ALUctr,Zero,Overflow,Result);parameter n=32;input [n-1:0] A,B;input [2:0] ALUctr;output Zero,Overflow;output [n-1:0] Result;wire SUBctr,OVctr,SIGctr,SignA,SignB,Cin;wire [1:0] OPctr;wire [n-1:0] X,Y,Z,Less,M,N,Add_Result;wire Add_Carry,Add_Overflow,Add_Sign;assign M={n{1'b0}};assign N={n{1'b1}};assign SUBctr=ALUctr[2];assign OVctr=!ALUctr[1]&ALUctr[0];assign SIGctr=ALUctr[0];assign OPctr[1]=ALUctr[2]&ALUctr[1];assign OPctr[0]=!ALUctr[2]&ALUctr[1]&!ALUctr[0];assign Cin=SUBctr;assign X=B^{n{SUBctr}};assign Y=A|B;Adder ad(Cin,A,X,Add_Carry,Add_Overflow,Add_Sign,Add_Result,Zero);assign SignA=Cin^Add_Carry;assign SignB=Add_Overflow^Add_Sign;assign Overflow=Add_Overflow&OVctr;MUX m1(SIGctr,SignA,SignB,Less);defparam m1.k=1;MUX m2(Less,M,N,Z);MUX3_1 m3(Add_Result,Y,Z,Result,OPctr);

  endmodule module MUX3_1(A,B,C,D,ctr);parameter k=32;input [k-1:0] A,B,C;output reg [k-1:0] D;input [1:0] ctr;always @(A or B or C or ctr)if(ctr==2'b00)D=A;else if(ctr==2'b01)D=B;else if(ctr==2'b10)D=C;endmodule module Adder(Cin,X,Y,Add_Carry,Add_Overflow,Add_Sign,Add_Result,Zero);parameter k=32;input [k-1:0] X,Y;input Cin;output reg [k-1:0] Add_Result;output Add_Carry,Add_Overflow,Add_Sign,Zero;reg Add_Carry;assign Zero=~|Add_Result;assign Add_Sign=Add_Result[k-1];assign Add_Overflow=(X[k-1]&Y[k-1]&~Add_Result[k-1])|(~X[k-1]&~Y[k-1]&Add_Result[k-1]);always @(X or Y or Cin){Add_Carry,Add_Result}=X+Y+Cin;Endmodule

  5)数据存数 module DataMem(Run,MemWr,Clk,DataIn,DataOut,Adr,Mem1,Mem2,Mem3);

  input Run,MemWr,Clk;input [31:0] DataIn,Adr;output [31:0] DataOut;output [31:0] Mem1,Mem2,Mem3;reg[31:0] Mem[31:0];assign Mem1=Mem[1];assign Mem2=Mem[2];assign Mem3=Mem[3];assign DataOut=Mem[Adr];always @(negedge Clk)if(Run==0)begin Mem[0]=0;Mem[1]=10;Mem[2]=20;Mem[3]=30;end else begin if(MemWr==1)Mem[Adr]=DataIn;end endmodule 6)寄存器 module Register(Run,Regwr,Overflow,RegDst,Rd,Rs,Rt,busW,busA,busB,Clk,Reg0,Reg1,Reg2,Reg3,Reg4);input Regwr,Clk,RegDst,Run,Overflow;input [31:0] busW;input [4:0] Rd,Rt,Rs;output reg [31:0] busA,busB;output [31:0] Reg0,Reg1,Reg2,Reg3,Reg4;reg [31:0] Mem[31:0];reg [4:0] Rw;

  wire [4:0] Ra,Rb;wire RegWr;assign RegWr=Regwr&~Overflow;assign Ra=Rs;assign Rb=Rt;assign Reg0=Mem[0];assign Reg1=Mem[1];assign Reg2=Mem[2];assign Reg3=Mem[3];assign Reg4=Mem[4];always @(Rd or Rt or RegDst)if(RegDst==1'b1)Rw=Rd;else Rw=Rt;always @(negedge Clk)if(Run==1'b1)begin if(RegWr==1'b1)Mem[Rw]=busW;end else begin Mem[0]

  end else begin busA=0;busB=0;end endmodule

  7)数据选择

  module MUX(ctr,X,Y,Z);parameter k=32;input [k-1:0] X,Y;output reg [k-1:0] Z;input ctr;always @(X or Y or ctr)if(ctr==1'b0)Z

计算机实验报告 篇2

  实验一 寄存器实验

  实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。

  实验要求:利用CPTH 实验仪上的K16.。K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0.。R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。

  实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。74HC574 的功能如下:

  系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 在后面实验中实验模式为手动的操作方法不再详述.

  将55H写入A寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

  置控制信号为:

  按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。 将66H写入W寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H

  将11H、22H、33H、44H写入R0、R1、R2、R3寄存器将 二进制开关K23-K16,置数据分别为11H、22H、33H、44H 置控制信号为:

  K11、K10为10,K1、k0分别为00、01、10、11

  并分别按住STEP 脉冲键,CK 由高变低,这时寄存器R0、R1R2R3 的黄色选择指示灯分别亮,放开STEP键,CK由低变高,产生一个上升沿,数据被写入寄存器。 注意观察:

  1、 数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。

  2、 K1(SB), K0(SA) 用于选择寄存器。

  读寄存器

  置控制信号为:K11、K10为01, K1、K0为00时,读R0,这时寄存器R0 的红色输出指示灯亮,R0 寄存器的数据送上数据总线。此时数据总线指示灯L7.。. L0为:00010001.

  00110011、 01000100.

  寄存器MAR原理图

  连接线表 寄存器OUT原理图

  寄存器MAR,ST,OUT写工作波形图

  K14(MAROE)为0, MAR寄存器中的地址输出,MAR 红色输出指示灯亮。 将K14(MAROE)置为1,关闭MAR输出。

  将34H写入ST寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据34H

  置控制信号为:

  按住STEP 脉冲键,CK 由高变低,这时寄存器ST 的黄色选择指示灯亮,表明选择ST 寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据34H被写入ST 寄存器。 将56H写入OUT寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据56H

  置控制信号为:

  1实验电路:CPTH 中的运算器由一片CPLD实现,有8 种运算,通过S2,S1,S0 来选择,运算数据由寄存器A及寄存器W 给出,运算结果输出到直通门D。

  连接线表

  3

  按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据33H 被写入W 寄存器。

  置下表的控制信号,检验运算器的运算结果

  注意观察:

  运算器在加上控制信号及数据(A,W)后,立刻给出结果,不须时钟。 实验心得:

  实验三

  PC 实验

  实验目的:

  1、了解模型机中程序计数器PC的工作原理及其控制方法。

  2、了解程序执行过程中顺序和跳转指令的实现方法。

  5

  PC 原理图

  在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0

  7

  当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置

  当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表

  9

  每置控制信号后,按一下STEP键,观察PC的变化。

  实验心得

  实验四 存储器EM 实验

  实验目的:了解模型机中程序存储器EM 的工作原理及控制方法。

  实验要求:利用CPTH 实验仪上的K16.。K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序存储器EM 的读写操作。 实验电路:

  存储器EM 由一片6116RAM 构成,是用户存放程序和数据的地方。存储器EM 通过一片74HC245 与数据总线相连。存储器EM 的地址可选择由PC或MAR 提供。

  存储器EM 的数据输出直接接到指令总线IBUS,指令总线IBUS 的数据还可以来自一片74HC245。当ICOE 为0 时,这片74HC245 输出中断指令B8。

  1

  实验1:PC/MAR 输出地址选择

  置控制信号为:

  3

  0,二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据01H 置控制信号为:

  按STEP键, 将地址1 写入MAR

  将数据22H写入EM[1] 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H 置控制信号为:

  按STEP键,将数据22H写入EM[1] 实验3:存储器EM 读实验 将地址0 写入MAR 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据00H 置控制信号为:

  按STEP键, 将地址0 写入MAR

  5实验4:存储器打入IR指令寄存器/uPC实验 将地址0写入MAR 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据00H

  置控制信号为:

  按STEP键,将地址0写入MAR

  读EM[0],写入IR及uPC

  置控制信号为:

  EM[0]被读出:11H 按STEP键,将EM[0]写入IR及uPC,IR=11H,uPC=10H

  7实验五 微程序存储器uM 实验

  实验目的:

  1、了解微程序控制方式模型机的基本工作原理。

  2、了解微程序存储器uM的控制方法。 实验要求:利用CPTH 实验仪上的开关做为控制信号,实现微程序存储器uM 的输出功能。

  实验电路:

  存储器uM 由三片6116RAM 构成,共24 位微指令,采用水平型微指令格式。存储器的地址由uPC 提供, 片选及读信号恒为低, 写信号恒为高。 存储器uM 始终输出uPC 指定地址单元的数据。

  9

  uM原理图

  连接线表

  实验1:微程序存储器uM 读出

  置控制信号为:K0为1

  uM 输出uM[0]的数据

  按一次STEP脉冲键,CK产生一个上升沿,数据uPC 被加一。 uM 输出uM[1]的数据

  按一次STEP脉冲键,CK产生一个上升沿,数据uPC 被加一。 uM 输出uM[2]的数据

  实验2:使用实验仪小键盘输入uM

  1.连接J1, J2

  2.打开电源

  1实验六

  模型机综合实验(微程序控制器)

  3 -

计算机实验报告 篇3

  课程 _多媒体技术________实验名称___PHOTOSHOP______ 第 页 共 页

  系 别_陕西省中职骨干教师培训班___ 实验日期 07 年 8 月 6 日 专业班级___计算机________ 实 验 报 告 日 期 07 年 8 月 6日 姓 名____ 辛树斌_______学号 _048____ 报告退发 ( 订正 、重做 )

  熟悉应用PHOTOSHOP 在图形处理中的操作,

  按照样张的样子把两张素材文件合并为一个图像文件。

  2、应用菜单栏中的“文件”菜单“打开”命令分别打开两个图形文件“城市风.JPG”和“云天.jpg”

  3、应用“图象”—>“旋转画布”—>“水平反转画布”对文件“云天.jpg”进行转换。

  4、使用方框工具选中中间图片,使用CTRL+j新建图层.

  5、选择新建图层,并选择“魔术棒工具”大致选出“城市风光.jpg”文件中的建筑轮廓,并配合使用SHIFT、ALT键完成精细的选择。

  6、使用“选择”菜单中的“反选”命令选中建筑图片拖动到云天图片中。

  7、使用CTRL+T对图片进行自由变换使其符合云天图片大小。

  在实验中着重应用了PHOTOSHOP中的图片反转、图层的建立、图片中的扣图、图片的自由变换,基本达到了实验目标。

  实验过程中,开始我不知道如何去除图片中的背景、经过请教摸索终于掌握了其应用方法。个人方面我觉得初次接触PHOTOSHOP很有收获。

计算机实验报告 篇4

  实 验 报 告

  计算机应用基础实验报告

  姓名

  班级

  1043112 学号

  实验日期

  课程名称

  计算机应用基础

  指导教师

  王老师

  成绩 实验名称:简单动画的制作

  实验目的:

  1)掌握Flash一般多图层动画的制作方法。2)掌握外部素材的导入方法。

  3)掌握外带声音的多图层动画的制作方法。4)了解遮罩动画的制作方法。实验环境:

  1.中文Windows XP操作系统

  2.中文Macromedia Flash 8动画制作软件

  实验内容及步骤(请将步骤填入每一题下方空白处)

  1.利用“lx1.fla”中的“mountain”元件,制作如“lx3样例.swf”所示的动画,其中“姓名”使用自己的真实名字代替,字体为黑体加粗,150号,图片的高度更改为与字体一样高,整个文档的大小与画面内容相匹配。将动画保存为“lx3.fla”,导出为“lx3.swf”。写出你的操作步骤: ①启动Macromedia Flash8,并单击“创建新项目”中的“Flash文档”,在新的文档窗口中,选择“文件/导入/导入到库…”菜单命令,将配套光盘中的“实验素材实验13mountain”的图片导入到库中。

  ②利用文本工具在文档中输入自己的姓名,格式如要求所示,填充色为蓝色,并点击“修改/转化为元件”将其转化为元件。将文档大小改为要求大小,并使姓名居中。

  ③右击时间轴第60帧位置,在快捷菜单中选择“插入关键帧”命令,然后在原图层上插入图层“图层2”。将位图“mountain”拖拽入“图层2”用“任意变形工具”修改其大小,使其符合要求与样例。右击位图将其复制并粘贴,并使复制位图的左端与原图的右端相连接。按住“shift”键,单击鼠标选中两图,将其整体的左端与姓名的左端相重合。右击时间轴“图层2”第60帧位置,在快捷菜单中选择“插入关键帧”命令,将其整体的右端与姓名的右端相重合,并创建动画补间。右击“图层2”,使其成为遮罩层。将动画保存为“lx3.fla”,使用“文件/导出/导出影片”命令,选择导出类型为“Flash影片”格式,将动画导出为“lx3.swf”。

  2.利用配套光盘上的3张滑雪图片和“实验7”中的“lovestory.mp3”音乐素材,制作具有“lx4样例.swf”效果的动画,动画共75帧,约6.2秒长度,每两张图片之间的切换用5帧的长度。将动画保存为“lx4.fla”,导出为“lx4.swf”。写出你的操作步骤: ①启动Macromedia Flash8,并单击“创建新项目”中的“Flash文档”,在新的文档窗口中,选择“文件/导入/导入到库…”菜单命令,将配套光盘中的“实验素材实验13”中的3幅滑雪的图片导入到库中,并将它们转化为“元件1”,“元件2”,“元件3”。②将舞台大小调节为如样例所示的合适大小,单击时间轴的第1帧位置,将“元件1”拖入,使其居中。在第15帧与第20帧位置插入关键帧,将第20帧处的元件颜色的Alpha变为0。建立“图层2”,“图层3”与“图层4”,在“图层2”的第17帧位置,将“元件2”拖入,使其居中。在第20帧,第45帧与第50帧位置插入关键帧,将第20帧与第50帧处的元件颜色的Alpha变为0。在“图层3”的第47帧位置,将“元件23”拖入,使其居中。在第50帧,第70帧与第75帧位置插入关键帧,将第47帧与第75帧处的元件颜色的Alpha变为0。在“图层4”的第73帧位置,将“元件1”拖入,使其居中。在第75帧位置插入关键帧,将第73帧的元件颜色的Alpha变为0。在“图层1”的第15帧,“图层2”的第17帧与第45帧,“图层3”的第47帧与第70帧,“图层4”的第73帧处建立动画补间。利用“GoldWave”工具,截取“lovestory.mp3”音乐中的前6.2秒左右的音乐,保存为“Music。wav”,然后在Flash中利用“文件/导入/导入到库”菜单命令,将处理好的音乐导入到库中。在“图层4”的上方插入“图层5”,选定“图层5”后从库中将声音对象拖拽到舞台上。

  ③将动画保存为“lx4.fla”,导出为“lx4.swf”。实验结果: 已提交电子版

  实验小结:

  ⑴实验中容易出错的地方: ①

  ②

  ⑵心得体会:

计算机实验报告 篇5

  学 院:软 件 学 院

  专 业:软 件 工 程

  年 级:201x级

  学 号:

  学 生 姓 名:

  同组学生姓名:

  实验课程名称:计 算 机 组 成 原 理 实 验

  实 验 名 称:复杂模型机设计实验

  指 导 教 师:

  实 验 时 间:20xx年x月x 日

  实 验 地 点:

  一、 实验目的与要求

  综合运用所学计算机组成原理知识,设计并实现较为完整的计算机。

  二、 实验设备

  PC 机一台,TD-CM3+或TD-CMX 实验系统一套。

  三、 实验原理

  下面讲述一下模型计算机的数据格式及指令系统。

  1.数据格式

  模型机规定采用定点补码表示法表示数据,字长为8位,8 位全用来表示数据(最高位不表示符号),数值表示范围是: 0≤X≤28-1。

  2.指令设计

  模型机设计三大类指令共十五条,其中包括运算类指令、控制转移类指令,数据传送类指令。运算类指令包含三种运算,算术运算、逻辑运算和移位运算,设计有6 条运算类指令,分别为:ADD、AND、INC、SUB、OR、RR,所有运算类指令都为单字节,寻址方式采用寄存器直接寻址。控制转移类指令有三条HLT、JMP、BZC,用以控制程序的分支和转移,其中HLT为单字节指令,JMP 和BZC 为双字节指令。数据传送类指令有IN、OUT、MOV、LDI、LAD、STA 共6 条,用以完成寄存器和寄存器、寄存器和I/O、寄存器和存储器之间的数据交换,除MOV 指令为单字节指令外,其余均为双字节指令。

  3.指令格式

  所有单字节指令(ADD、AND、INC、SUB、OR、RR、HLT 和MOV)格式如下:

  其中,OP-CODE 为操作码,RS 为源寄存器,RD 为目的寄存器,并规定:

  IN 和OUT 的指令格式为:

  其中括号中的1 表示指令的第一字节,2 表示指令的第二字节,OP-CODE 为操作码, RS为源寄存器,RD 为目的寄存器,P 为I/O 端口号,占用一个字节,系统的I/O 地址译码原理见图5-3-1(在地址总线单元)。

  由于用的是地址总线的高两位进行译码,I/O 地址空间被分为四个区,如表5-3-1 所示:

  系统设计五种数据寻址方式,即立即、直接、间接、变址和相对寻址,LDI 指令为立即寻址,LAD、STA、JMP 和BZC 指令均具备直接、间接、变址和相对寻址能力。

  LDI 的指令格式如下,第一字节同前一样,第二字节为立即数。

  LAD、STA、JMP 和BZC 指令格式如下。

  其中M 为寻址模式,具体见表5-3-2,以R2 做为变址寄存器RI。

  4.指令系统

  本模型机共有 15 条基本指令,表5-3-3 列出了各条指令的格式、汇编符号、指令功能。

  四、 实验步骤

  1、实验接线:

  2、实验步骤:

  1. 按图5-3-6 连接实验线路,仔细检查接线后打开实验箱电源。

  2. 写入实验程序,并进行校验,分两种方式,手动写入和联机写入。

  1) 手动写入和校验

  (1) 手动写入微程序

  ① 将时序与操作台单元的开关KK1 置为‘停止’档,KK3 置为‘编程’档,KK4 置为‘控存’档,KK5 置为‘置数’档。

  ② 使用CON 单元的SD05——SD00 给出微地址,IN 单元给出低8 位应写入的.数据,连续两次按动时序与操作台的开关ST,将IN 单元的数据写到该单元的低8 位。

  ③ 将时序与操作台单元的开关KK5 置为‘加1’档。

  ④ IN 单元给出中8 位应写入的数据,连续两次按动时序与操作台的开关ST,将IN 单元的数据写到该单元的中8 位。IN 单元给出高8 位应写入的数据,连续两次按动时序与操作台的开关ST,将IN 单元的数据写到该单元的高8 位。

  ⑤ 重复①、②、③、④四步,将表5-3-5 的微代码写入2816 芯片中。

  (2) 手动校验微程序

  ① 将时序与操作台单元的开关KK1 置为‘停止’档,KK3 置为‘校验’档,KK4 置为‘控存’档,KK5 置为‘置数’档。

  ② 使用CON 单元的SD05——SD00 给出微地址,连续两次按动时序与操作台的开关ST,MC 单元的指数据指示灯 M7——M0 显示该单元的低8 位。

计算机实验报告 篇6

  实验四控制面板的设置与应用

  实验类型:综合性实验教师评分 :实验时间:____________

  实验地点:__6机房____

  一、实验目的与要求

  掌握控制面板的功能设置和应用。

  二、实验环境

  1.硬件环境:奔ⅣPC。

  2.软件环境:Windows XP 操作系统,Excel 2003。

  三、实验内容

  任务:通过控制面板完成如下操作。

  1.修改桌面背景、保护程序等计算机外观的设置。

  2.将计算机系统时间改为2000年10月1日12点整。

  3.将任务栏的设置为隐藏、然后再恢复原来设置。

  4.查看计算机名称等基本信息。

  具体操作步骤为:

  1.在桌面空白出点击鼠标左键,选择“属性”,窗口弹出后,选择“桌面”.在下方的方框内选择自己喜欢的图片点击“应用”。再按确定键退出界面》、Windows桌面右下角的时间数字,在弹出的窗口中把时间修改为;000年10月1日12点即可。

  然后执行相同步骤即可。

  四、实验体会

  初步了解到了一些桌面系统的操作与使用,并·了解了一些控制面板的基本功能。

计算机实验报告 篇7

  一、实训目的:

  1、练习和巩固识别计算机部件的方法,并能在一定的条件下判断计算机部件的好坏与优劣。

  2、练习和巩固多媒体计算机硬件安装基本方法与步骤,锻炼学生的动手能力,使学生不仅仅能组装计算机,更能合理和更优的方式组装计算机。

  3、练习和巩固计算机软件的安装方法与步骤,使得学生掌握在真实的、实际工作中安装多媒体计算机软件的方法与步骤。

  4、掌握和巩固多媒体计算机故障处理。能在遇到的各种硬件、软件的故障处理中,自己动手和动脑、并在老师的指导下,掌握基本的处理方法,积累一定的经验。

  二、实训地点:

  四教学楼6楼,计算机组装与维修实验室

  三、时间:

  第十四周,星期一至星期五

  四、指导老师:

  xx

  五、实训组员:

  向前、谢静、陈永兰、刘丹

  组长:向前

  六、实训计划:

  七、实训过程:

  ~-12-11星期一上午地点:石桥铺电脑城

  星期天接到老师的实训要求,需要windosw98安装光盘一张(带有启动功能),所以就去石桥铺电脑城购买,顺便去看看现在流行的硬件,开阔眼界,扩充知识。

  买到光盘发现有人在买电脑,这样的好机会,我怎么会放过呢?

  买家是某大学的大一的新生,买电脑的要求为:玩游戏、上网、学习,组装机,价位是5000元左右。商家给出下面的配置单:

  配件型号

计算机实验报告 篇8

  中南大学

  计算机组成原理及汇编实验报告

  姓 名: 代巍 学 号: 0909121615 专业班级: 信安1201 指导教师: 盛羽 学 院: 信息科学与工程学院

  计算机组成原理实验

  实验1 总线基本实验

  一、实验目的

  (1)掌握静态存储随机存储器RAM的工作特性 (2)掌握静态存储随机存储器RAM的读写方法

  二、实验设备

  74LS374(一片),74LS245(一片),74LS273(一片),静态存储器MEMORY 6116(一片),8位数据排线(一片),与门(两片),与非门(一片),单脉冲(三片),开关若干,灯泡若干。

  三、实验原理

  总线传输实验框图如图4.1所示,它将几种不同的设备挂至总线上,有存储器、输入 设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制 它们,就可实现总线信息传输。

  总线传输实验框图

  总线基本实验要求如下:根据挂在总线上的几个基本部件,设计一个简单的流程。 (1)输入设备将一个数输入R0 寄存器。 (2)输入设备将另一个数输入地址寄存器。

  (3)将R0 寄存器中的数写入到当前地址的存储器中。 (4)将当前地址的存储器中的数用LED 数码管显示。

  四、实验步骤

  (1) 选择实验设备:根据实验原理图,将所需要的组件从组件列表中拖到实验设计流程栏中。

  搭建实验流程:将已选择的组件进行连线(鼠标从一个引脚的端点拖动到另一组件的引脚端,即完成连线)。搭建好的实验流程图如图4.3所示。

  (2)初始化各芯片的控制信号,仔细检查无误后点击 【电源开/关】按钮接通电源。

  总线基本实验流程图

  (3)实验的具体操作步骤如图4.2 所示。

  首先应关闭所有三态门(SW-B=1,CS=1,R0-B=1,LED-B=1),并将关联的信号置为:LDAR=0,LDR0=0,W/R(RAM)=1,W/R(LED)=1。然后参照如下操作流程,先给数据开关置数,打开数据输出三态门,开关LDR0置1,并双击旁边的单脉冲,使产生一个上升沿将数据输入到R0 中;然后继续给数据开关置数,开关LDAR置1 ,并双击旁边的单脉冲,使产生一个上升沿将数据输入到AR 中;关闭数据开关三态门 ,打开R0 寄存器输出控制(开关LDR0和开关R0-B都置0),使存储器处于写状态(W/R=0、CS=0)将R0 中的数写到存储器中;关闭存储器片选,关闭R0 寄存器输出(开关R0-B置0),使存储器处于读状态(W/R=

  1、CS=0)。

  实验步骤图

  五、实验结果及结果分析

  实验截图

  六、实验心得体会

  通过本次本次实验:

  (1)了解了一些逻辑器的组成结构 (2)掌握一些逻辑器的工作原理 (3)验证了各逻辑器件的组合功能

  (4)了解了总线和各个器件之间的工作过程。

  通过亲自动手,能更好的理解逻辑器件的组成及功能。同时实验中遇到各种问题,解决后,让自己的知识更加牢固。同时也意识到自己的不足,需要更加的努力!

  汇编语言实验

  实验2 汇编语言编程实验

  一、实验目的

  (1)掌握循环程序的设计方法

  (2)掌握汇编语言源程序的编辑、汇编、连接及调试过程。

  (3)进一步熟悉利用DEBUG程序修改参数的方法,并检查和验证结果的正确性。 (4)学会针对不同的问题,选用不同的组织循环的方法。

  二、实验设备

  PC机及masm for windows软件。

  三、实验要求

  (1)编辑一个指定的汇编语言源程序,并对其进行汇编、连接和运行。 (2)利用DEBUG进行程序调试,掌握常用命令的使用方法,观察运行结果。

  (3)汇编语言上机操作,掌握循环程序的过程和汇编实现原理,进一步熟练掌握DEBUG操作指令以及汇编语言源程序的编辑、汇编、连接及调试过程。

  四、实验内容

  实验一:编程计算1~100的和

  实验二:编程计算 S=1+2*3+3*4+4*5+……+N*(N+1),N由用户输入,将结果在屏幕显示

  实验三:编程计算SUM=1!+2!+3!+4!+5!,将结果输出,需要有子程序

  五、程序源代码

  实验一程序代码如下: DATA SEGMENT SUM1 DW 0,13,10,'$'

  DATA ENDS STACK1 SEGMENT S DB 1000 DUP( 0 ) STACK1 ENDS CODE SEGMENT ASSUME CS:CODE, DS:DATA, SS:STACK1 START: MOV AX, DATA MOV DS, AX MOV AX, 0 MOV CX, 0

  LOOP1: ADD AX, CX INC CX CMP CX, 101 JL LOOP1 MOV SUM1, AX lea di ,sum1 call cv mov ah,9 lea dx,s〔〕um1 int 21h jmp ok

  cv:

  push cx push dx xor cx,cx mov si,10 s0:

  xor dx,dx inc cx div si push dx cmp ax,0 jnz s0 s1: pop dx add dl,“0”

  mov byte ptr [di],dl inc di loop s1 mov al,20h s2:

  cmp byte ptr [di],0 jz s3

  cmp byte ptr [di],43h jz s3

  mov byte ptr [di],al inc di jmp s2 s3: pop dx pop cx ret ok:

  MOV AH,4CH INT 21H CODE ENDS END START

  实验二程序代码如下: DATAS SEGMENT

  s dw ?;此处输入数据段代码

  n dw ?

  DATAS ENDS STACKS SEGMENT

  dw 64 dup(?);此处输入堆栈段代码 STACKS ENDS CODES SEGMENT

  ASSUME CS:CODES,DS:DATAS,SS:STACKS START:

  loop1:

  clc

  ;此指令清除cf标志位cf=0

  mov ah,1h

  ;通过给AH寄存器赋值,然后调用INT 21H指令, ;计算机就会根据AH寄存器中的值执行相应的操作

  ;其中1H是键盘输入并回显,AL中有输入字符

  int 21h

  cmp al,0dh ;比较是不是回车键,不改变操作数,只改变标志位,若ZF=1,则表示两数相等

  je print ;ZF=1,转至标号处执 表示输入完毕

  cmp al,'0'

  jz rotate ;ZF=1,转至标号处执

  stc

  ;此指令设置cf为1 rotate:

  rcl bx,1

  ;注意这里是带进位左移,程序的思想所在 jmp loop1 ;无条件转移 print:

  mov n,bx

  MOV AX,DATAS

  MOV DS,AX

  mov bx,0001h

  mov dl,02h lop2:mov al,bl

  inc dl;加1

  mul dl;无符号数乘法AX=oprd*al

  add bx,ax

  cmp ax,n;与n比较

  jna lop2;不高于转移

  mov s,bx

  mov ch,4

  mov cl,4 lop1:rol bx,cl

  mov dl,bl

  and dl,0Fh

  cmp dl,09h

  jbe next;低于等于转移

  add dl,7 next:add dl,30h

  mov ah,2

  int 21h

  dec ch

  jnz lop1;非零转移

  MOV AH,4CH

  INT 21H CODES ENDS

  END START 实验三程序代码如下:

  DATA SEGMENT

  OUTPUT_TIPS DB 10, 13, “1! + 2! + 3! + 4! + 5! =

  NNN DW 1 DATA ENDS CODE SEGMENT

  ASSUME CS:CODE, DS:DATA START:

  MOV AX, DATA

  MOV DS, AX

  MOV BX, 2

  ;0、1 的阶乘都是 1 LOP:

  MOV AX, BX

  MOV CX, AX

  CALL FACTOR

  ;用递归方法计算阶乘

  $”

  ADD AX, NNN

  MOV NNN, AX

  INC BX

  CMP BX, 6

  JNE LOP

  MOV AX, NNN

  DISP:

  PUSH AX

  MOV DX, OFFSET OUTPUT_TIPS

  MOV AH, 9

  ;显示字符串。

  INT 21H

  POP AX

  MOV BX, 10

  MOV CX, 0 L1:

  MOV DX, 0

  DIV BX

  PUSH DX

  INC CX

  AND AX, AX

  JNZ L1 L2:

  POP DX

  ADD DL, 48

  MOV AH, 2

  INT 21H

  LOOP L2 EXIT:

  MOV AH, 4CH

  INT 21H

  FACTOR PROC NEAR

  ;阶乘。

  DEC CX

  ;CX=2~8

  CMP CX, 1

  ;=1 ?

  JE

  FACTOR_E

  ;为1就退出

  PUSH CX

  ;非1压栈保存

  CALL FACTOR

  ;递归调用,把CX一次次的减一

  POP CX

  ;弹出一个个递减的数值

  MUL CX

  ;乘到AX FACTOR_E:

  RET

  ;AX=(2~8)! FACTOR ENDP

  ;简洁明了,无与伦比 CODE ENDS

  END START

  六、运行结果

  实验一调试

  实验一运行结果

  实验二调试

  实验二运行结果

  实验三调试

  实验三运行结果

  七、实验总结

  通过本次上机实验,学习了数值转换,循环,中断,分支结构等知识点。在课堂中听到的关于汇编语言中的循环和分支程序的知识点,我们将它实践化了,使得我们更深一步的认识和理解了循环程序和分支程序。在实验中,暴漏出来的问题就是,对于一些符号它所代表的是什么还是不熟悉,导致程序出现问题,因此,以后还要多看书,多练习,加强这方面的记忆。这次实验所学到的印象最深的就是LOOP循环以及有符号数跳转JLE。

  总体上来讲,有些知识点理解的还不到位,希望通过以后的练习能加强对这些知识点的理解。实验过程中,遇到很多问题,比如程序一的编写,基本上都是学习书本以及依靠书本多做题才编出来的,仅有的几次上机实验,要抓紧时间学习和交流,时间挺少的。在今后的学习和生活中,我们都需要相互学习、相互交流,共同进步。学习是一个循序渐进的过程。 通过这次实验,使我了解到运行调试程序的不易,今后一定更加努力,把程序做到最好!对于不足之处,会积极改正。掌握汇编语言程序编辑、汇编、连接、运行以及利用DEBUG调试程序的方法。加深对循环和分支程序结构的理解,掌握循环和分支结构程序设计的方法,熟练汇编语言程序上机调试的方法和过程。能够独立自主的编辑代码解决一些小问题,对汇编有了初步的了解。但仍有很多需要学习的地方!

计算机实验报告 篇9

  计算机网络技术

  计算机网络可按网络拓扑结构、网络涉辖范围和互联距离、网络数据传输和网络系统的拥有者、不同的??

  计算机网络技术

  服务对象等不同标准进行种类划分。一般按网络范围划分为:(1)局域网(LAN);(2)城域网(MAN);(3)广域网(WAN)。局域网的地理范围一般在10千米以内,属于一个部门或一组群体组建的小范围网,例如一个学校、一个单位或一个系统等。广域网涉辖范围大,一般从几十千米至几万千米,例如一个城市,一个国家或洲际网络,此时用于通信的传输装置和介质一般由电信部门提供,能实现较大范围的资源共享。城域网介于LAN和WAN之间,其范围通常覆盖一个城市或地区,距离从几十千米到上百千米。按网络的交换方式分类:(1)电路交换(2)报文交换(3)分组交换。电路交换方式类似于传统的电话交换方式,用户在开始通信前,必须申请建立一条从发送端到接收端的物理信道,并且在双方通信期间始终占用该通道。报文交换方式的数据单元是要发送的一个完整报文,其长度并无限制。报文交换采用存储--转发原理,这点有点像古代的邮政通信,邮件由途中的驿站逐个存储转发一样。报文中含有目的地址,每个中间节点要为途经的报文选择适当的路径,使其能最终到达目的端。分组交换方式也称包交换方式,1969年首次在ARPANET上使用,现在人们都公认ARPANET是分组交换网之父,并将分组交换网的出现作为计算机网络新时代的开始。采用分组交换方式通信前,发送端现将数据划分为一个个等长的单位(即分组)这些分组逐个由各中间节点采用存储--转发方式进行传输,最终达到目的端。由于分组长度有限制,可以在中间节点机的内存中进行存储处理,其转发速度大大提高。除以上几种分类外,还可以按所采用的拓扑结构将计算机网络分为星星网、总线网、环形网、树形网和网形网;按其所采用的传输介质分为双绞线网、同轴电缆网、光纤网、无线网;按信道的带宽分为窄带网和宽带网;按不同的途径分为科研网、教育网、商业网、企业网、校园网等。计算机网络由一组结点和链络组成。网络中的结点有两类:转接结点和访问结点。通信处理机、集中器和终端控制器等属于转接结点,它们在网络中转接和交换传送信息。主计算机和终端等是访问结点,它们是信息传送的源结点和目标结点。

  计算机网络技术实现了资源共享。人们可以在办公室、家里或其他任何地方,访问查询网上的任何资源,极大地提高了工作效率,促进了办公自动化、工厂自动化、家庭自动化的发展。

  21世纪已进入计算机网络时代。计算机网络极大普及,计算机应用已进入更高层次,计算机网络成了计算机行业的一部分。新一代的计算机已将网络接口集成到主板上,网络功能已嵌入到操作系统之中,智能大楼的兴建已经和计算机网络布线同时、同地、同方案施工。随着通信和计算机技术紧密结合和同步发展,我国计算机网络技术飞跃发展

计算机实验报告 篇10

  本科实验报告

  课程名称:

  计算机基础 R

  实验项目:

  操作系统平台实验

  实验地点:

  明向校区行勉楼

  专业班级:

  学号:

  学生姓名:

  指导教师:

  彭新光

  ****年**月**日

  实验序号:01

  实验项目名称:文件与文件夹的基本操作 一、实验目的及要求(1)掌握创建、重命名和删除文件与文件夹的方法(2)掌握选择文件与文件夹的方法(3)掌握复制和移动文件与文件夹的方法(4)掌握搜索文件的方法(5)掌握文件属性和文件夹选项的设置 二、实验设备(环境)及要求 设备:计算机一台和 Windows 操作系统; 要求:完成实验结果 三、实验内容与步骤(1)在 D 盘根目录下创建任意名称的 txt 文本文件与 Word 文档文件,以及任意名称的文件夹。对创建的文件和文件夹进行重命名或删除操作。

  (2)在文件夹之间复制、移动文件与文件夹。

  (3)搜索特定名称的文件。

  (4)更改文件或文件夹的属性。

  四、实验结果与数据处理

  五、分析与讨论

  实验序号:02

  实验项目名称:程序管理 一、实验目的及要求(1)掌握程序安装的方法。

  (2)掌握创建程序快捷方式的方法。

  (3)掌握程序运行与任务管理器的使用方法。

  (4)掌握卸载程序的方法。

  二、实验设备(环境)及要求 设备:计算机一台和 Windows 操作系统;

  要求:完成实验结果 三、实验内容与步骤(1)安装“360 浏览器”。

  (2)在桌面上建立“360 浏览器”的快捷方式。

  (3)运行“360 浏览器”,并在任务管理器中设置其优先级,最后结束其进程。

  (4)卸载“360 浏览器”。

  四、实验结果与数据处理

  五、分析与讨论

计算机实验报告 篇11

  计算机科学与技术-计10计 算 机 组 成 原 理 实 验 报姓

  名:

  学

  号:

  班

  级:

  指 导 老 师:

  郑

  计算机科学与技术-计10

  4一个上升沿,数据66H 被写入W 寄存器。 3)将11H写入R0寄存器

  ①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据11H

  置控制信号为:

  ③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据11H 被写入R0 寄存器。 4)将22H写入R1寄存器

  ①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H

  置控制信号为:

  ③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据22H被写入R1 寄存器。 5)将33H写入R2寄存器

  ①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H

  置控制信号为:

  ③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据33H被写入R2 寄存器。

  计算机科学与技术-计104

  这时寄存器R3 的红色输出指示灯亮,R3 寄存器的数据送上数据总线。此时数据总线指示灯L7.。. L0为: 01000100. 将K11(RRD)置为1, 关闭R3 寄存器输出。 11)将12H写入MAR寄存器

  ①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H

  置控制信号为:

  ③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据12H被写入MAR寄存器。 12)将34H写入ST寄存器

  ①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据34H

  置控制信号为:

  ③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据34H被写入ST 寄存器。 13)将56H写入OUT寄存器

  ①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入“Hand.。.。.。”手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据56H

  置控制信号为:

  计算机科学与技术-计10

  4(2)掌握简单运算器的数据传送通道。

  (3)能够按给定数据,完成实验指定的算术/逻辑运算。

  4、实验步骤:

  ①将55H写入A寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

  置控制信号为:

  按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。

  ②将33H写入W寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H

  置控制信号为:

  按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据33H 被写入W 寄存器。

  ③置下表的控制信号,检验运算器的运算结果

  计算机科学与技术-计10

  4实验2:移位实验 将55H写入A寄存器

  二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

  置控制信号为:

  按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。

  S2S1S0=111 时运算器结果为寄存器A内容

  5、实验结果与分析:

  移位与输出门是否打开无关,无论运算器结果如何,移位门都会给出移位结果。但究竟把那一个结果送数据总线由X2X1X0输出选择决定。表中第一行,A中寄存器值为55H=01010101,L为左移结果为:10101010B=AAH,D为直通输入结果为原值,R为右

  计算机科学与技术-计10

  4(2)按图3—6连接实验线路, 仔细查线无误后接通源。

  4、实验结果与分析:

  ① 编程

  1

  计算机科学与技术-计104

  MAO清零,从而明确本机的运行入口微地址为000000(二进制)。

  D.按动“START”键,启动时序电路,则每按动一次启动键,读出一条微指令后停机, 此时实验台上的微地址显示灯和微命令显示灯将显示所读出的一条指令。 注意:在当前条件下,可将“MICRO—CONTROLLER”单元的sE6一sEl接至“SWITCH UNIT”中的S3—Cn对应二进制开关上,可通过强置端sEl一sE6人为设置分支地址。将SEI—SE6对应二进制开关量为“1”,当需要人为设置分支地址时,将某个或几个二进制开关置“0”,相应的微地址位即被强置为“l”,从而改变下一条微指令的地址。(二进制开关置为“0”,相应的微地址位将被强置为“l”) ④ 连续运行

  A.将编程开关置为“RUN(运行)”状态。

  B.将实验板的单步开关“STEP”置为“EXEC”状态。

  C. 使CLR从l→0→l,此时微地址寄存器清“0”,从而给出取指微指令的入口地址为 000000(二进制)。

  D.启动时序电路,则可连续读出微指令。

  5、实验注意事项:

  此次实验主要要掌握微程序控制器的组成、工作原理;明确微程序、微指令、微命令的概念;掌握微指令、微程序的设计及调试方法;通过单步方式执行若干条微指令深入理解微程序控制器的工作原理;用逻辑分析仪测试微程序控制器指令的转移,微程序、微指

  3

  想了解更多实用资料网的资讯,请访问: 实用资料

本文来源:https://www.liuxue86.com/a/5058858.html
延伸阅读
想要了解“车间整改报告”的资讯出国留学网的编辑的整理会让你满意,欢迎引用将知识传递给更多人。俗话说一分耕耘,一分收获,平常的学习工作中。需要使用报告的情况越来越多,优秀的报告能提高
2023-08-03
“大学生暑假社会实践报告参考”是我们从网络收集和整理的,随着经济的发展。写报告是很常见的事,写报告时,要明确的讲清楚自己的逻辑,层次方面要分明,逻辑要严谨,如何撰写出一篇优秀的报告
2023-08-03
自古圣贤之言学也,咸以躬行实践为先,日常工作中。我们会经常用到报告,书面报告主要包括工作情况、取得的成效、存在的问题以及今后打算等几个方面,你知道哪些优秀的报告的范文吗?经过反复确
2023-08-03
学习习惯调查报告【篇1】一、问题提出我校自三年级起开设英语,经过多年的教学实践,发现投入的时间精力很大,但收效甚微,不仅学生在历次的测验中表现都都不太理想,而且学生在英语的听说读写
2023-08-03
期待这份"农村中学生英语单词学习现状调查报告"能够让您更深入了解相关事项,学习工作中。很多时候我们都需要去写一份报告,报告其实就是将题目和结论进行合理性的结合,你知道怎么撰写一篇优
2023-08-03
编辑将带您探索“计算机实验报告”的背后故事请跟随我们的脚步,在我们平凡的日常里。报告使用的频率越来越高,报告的情况必须属实,叙述的事实、引用的材料要准确无误,不夸大、不缩小、不虚构
2023-05-08
以下是出国留学网的编辑为您准备的与您相关的《生物实验报告》。纸上得来终觉浅,绝知此事要躬行,当我们要向领导汇报工作时。我们常常会用到报告这种实用文,出彩的报告一定是基于对业务的理解
2023-04-18
这篇文章将对大家理解“化学实验报告范本”有很大的帮助,每当我们结束一阶段的任务。写报告是必不可少的,现如今,报告成为了信息共享的一种方式,此次的报告你知道怎么写吗?充分享受每个有意
2023-07-18
实验报告要怎么写才好呢?写实验报告需要注意很多东西,我们一起看一下优秀的实用报告吧,为满足您的需求,小编特地编辑了“实验报告化学精选实用”,欢迎大家与身边的朋友分享吧!实验报告化学
2022-06-01
写报告可以及时总结工作中的问题,便于及时纠错,当我们完成一项任务时。往往都需要撰写报告,一篇优秀的报告怎么样动笔呢?从您的需求出发出国留学网小编为您定制了这份精选“实验实验报告”,
2023-05-07